2009年12月21日 星期一

讓 VI 也認得 SystemVerilog

Step.1 先到 這裡 下載 systemverilog.vim
Step.2 將 systemverilog.vim 移到 ~/.vim/syntax/ 的目錄下
Step.3 編輯 ~/.vim/filetype.vim 並加入

augroup filetypedetect
au BufNewFile,BufRead *.sv setf systemverilog
augroup END

沒有留言: