2010年10月14日 星期四

在 Mac OS X 上跑簡單的 Verilog

下載 MacPorts 並安裝
之後打開 Terminal
輸入:

    $ sudo port install iverilog

輸入密碼後,就會自動安裝 iVerilog (Verilog Simulator)
執行方式:

    $ iverilog -o test ./test.v
    $ ./test

沒有留言: